Have any comments about Icarus Verilog?
We welcome any bug reports!